[Coco] Xilinx ISE Assigning Signals to Specific Pins

Mark McDougall msmcdoug at iinet.net.au
Wed Oct 17 07:22:20 EDT 2012


On 17/10/2012 6:11 PM, Kip Koon wrote:

> Here's a Xilinx ISE question for you all who are into CPLD's for your
> projects.  I get successful implementations, that is compiles, but every
> time I make a change, all the signals change to different pins.  How do I
> assign each signal to a specific pin.

You need to add the net names and corresponding pin locations to the .UCF file.

Should be plenty of examples around.

Regards,

-- 
|              Mark McDougall                | "Electrical Engineers do it
|  <http://members.iinet.net.au/~msmcdoug>   |   with less resistance!"



More information about the Coco mailing list