[Coco] Re: Coco Repack

Mark Marlette mmarlett at isd.net
Sat Aug 7 22:17:31 EDT 2004


At 06:58 PM 8/7/2004 -0700, you wrote:

2^8=256, binary 8 bits, 256 possibilities

4k=4096 * 256 = 1,048,576 bytes

8k=8192 * 256 = 2,097,152 bytes



>Hi,
>
>         Forgive my stupidity, but why does reallocating the bits in the
>address cut the max memory to 1 Meg (from 2?)?
>
>                                         kevin
>
>Mark Marlette wrote:
> >
> > At 09:03 AM 8/7/2004 -0700, you wrote:
> >
> > Alter the page size to lets say 4k and then max. memory will be 1MB.
> >
> > 8 bit MMU. Plus an EXTREME going over of NitrOS-9 would have to take place
> > to make sure it handled the varying block size. A HUGE task in itself.
> >
> > >James,
> > >
> > >         What about altering the page size? What are your thoughts?
> > >
> > >                                         kevin
> > >
> > >jdaggett at gate.net wrote:
> > > >
> > > > Mark
> > > >
> > > > The MMU is real simple. It is basically a 2 to 1 4 bit wide multiplexor
> > > that feeds a
> > > > 16x8 ram. The GIME chip uses a 16x6 ram. Most  likely the package was
> > > limited to
> > > > 68 pins by Tandy and cost factors. Next standard size up is 84 pins in
> > > a PLCC
> > > > package. Given that during the 80's it was around 10 cents a pin for
> > > package cost,
> > > > another 16 pins would have meant another $1.60 for the part.
> > > >
> > > > I really believe that many of the limitations of the GIME chip is
> > > solely due to keeping
> > > > costs to a bare minimum.
> > > >
> > > > The VGA portion is tough to code and will occ py the most territory.
> > > That will
> > > > determine how big the FPGA is and how much any project like th is will
> > > eventually
> > > > cost. Most of the free VGA cores are more modern and do not cover CGA
> > > > resolutions. Or they are very generic and need more work to make them
> > > compatible
> > > > to 8 bit buss. One of the nice things is that the software to design
> > > the logic and
> > > > program the FPGA is free. Right now I am designing the logic for the
> > > two registers
> > > > at $FF92/3. These registers do a dual purpose. They enable interrputs
> > > and also act
> > > > as interrupt flags. When you read the register, the flags are cleared
> > > while the
> > > > interrupt remains enabled. Not a simple D-FlipFlop latch. Most of the
> > > rest of the
> > > > registers are just that, D flipflop latches.
> > > >
> > > > Then there will be the 2 to 1 (21) bit wide multiplexor to switch
> > > between video
> > > > memory and program/data memory. The hardest part is keeping 
> remebering that
> > > > the 6809 latches data out of the CPU on the falling edge of the Qclk
> > > and latches
> > > > data in to the CPU on the falling edge of the Eclk. Have to keep in
> > > mind when the
> > > > data needs to  be presented to the CPU and when the CPU is passign 
> data to
> > > > external devices. After all that the first half of the Eclk cycle is
> > > doing display.
> > > >
> > > > Mark, like I stated in the beginning, I got started with this to use
> > > the Coco as a
> > > > postion controller and database for my telescopes. One thing that I
> > > wanted was to
> > > > be able to drive an LCD panel of some type and have OS9 boot from a
> > > flash card or
> > > > flash memory. I wanted at least 2 megs of sram and not dram. IDE
> > > interface and at
> > > > least 4 mbytes of flash for storage.
> > > >
> > > > Real pipe dreams was to add firewire or USB and a parallel port to
> > > control a CCD
> > > > camera for astronomy.
> > > >
> > > > So this will start as a home project and I have no idea of where it
> > > will go. I  have
> > > > toyed with the thought of a FPGA version of the 6309 and run it at say
> > > around 15 to
> > > > 25 MHz. These are future t hings to work on. I am right now having fun
> > > with it and
> > > > learning VHDl in the same time frame. It is amazing what you can do
> > > with CPLDs
> > > > and FPGAs. Some of the software development boards that I designed
> > > years ago
> > > > would be more flexable and easier had I done a lot of the simple glue
> > > logic in these
> > > > devices.
> > > >
> > > > james
> > > >
> > > >
> > > > On 6 Aug 2004 at 18:27, Mark Marlette wrote:
> > > >
> > > > Date sent:              Fri, 06 Aug 2004 18:27:21 -0500
> > > > To:                     CoCoList for Color Computer Enthusiasts
> > > > <coco at maltedmedia.com>
> > > > From:                   Mark Marlette <mmarlett at isd.net>
> > > > Subject:                Re: [Coco] Re: Coco Repack
> > > > Send reply to:          CoCoList for Color Computer Enthusiasts
> > > > <coco at maltedmedia.com>
> > > >         <mailto:coco-> request at maltedmedia.com?subject=unsubscribe>
> > > >         <mailto:coco-> request at maltedmedia.com?subject=subscribe>
> > > >
> > > > > At 10:45 PM 8/6/2004 +0000, you wrote:
> > > > >
> > > > > The 63B09 = 2MHZ, 63C09=3MHZ and 63D09=4MHZ.
> > > > >
> > > > > James has quite a project just to do the GIME in a FPGA. Lets not
> > > > > overwhelm the project and make it not happen. The paragraph below 
> is a
> > > > > HUGE task! Remember this is a hobby for most. To do the software,
> > > > > hardware and test takes quite some time. Better yet how many people
> > > > > would step forward and help out on it? How about put up the $$$ 
> to buy
> > > > > one????? I have over $5k out on the SuperBoard project, that doesn't
> > > > > include my time. Love of the machine or stupidity...... :)
> > > > >
> > > > > It is quite a simple task to make the memory map for the GIME, it is
> > > > > ALOT harder to perform all of the functions that is behind each bit.
> > > > > The MMU is simple as well. Interrupt and timer functions are a bit
> > > > > more complex and not documented the best. The video with all the
> > > > > compatibility modes is something else as well. No doubt something to
> > > > > be proud of, the GIME is the machine. I have been in direct contact
> > > > > with the creators of this beast and it is AMAZING to me that nobody
> > > > > has an internal document of it. I also do believe if they had it, I
> > > > > would have it as our conversations went.
> > > > >
> > > > > Mark
> > > > > Cloud-9
> > > > >
> > > > >
> > > > > >IIIRC the 6309 is a 4 MHz part? I doubt it will run reliably after
> > > > > >6-8 MHz. Even then, it could vary between chips. If you put a 
> 6309 in
> > > > > >FPGA you could drop some of the 6809 compatibility and possibly put
> > > > > >in some new code, but then you'd lose program compatibility. Not 
> sure
> > > > > >how much that would affect NitrOS9 for the 6309 though. Still, 
> if you
> > > > > >do a CoCo repack with the joystick ports and replace the bit banger
> > > > > >with USB (or two...), it would be a nice system. Wouldn't be 100%
> > > > > >CoCo compatible once the ROMs were in place, but would be close.
> > > > > >Would be very nice if the DECB ROM could be modified to use a USB
> > > > > >floppy, and maybe HD. But DECB really doesn't need a HD for
> > > > > >experimental purposes. Some sort of mass storage would be needed,
> > > > > >maybe one of those USB "drives" would be easier to code in the ROM,
> > > > > >but you'd have to transfer code from a PC to run DECB programs. The
> > > > > >only reason I harp on DECB is the ease of programming for
> > > > > >experiments. Basic09 is more powerful, it has similarities t
> > > > > >  o Pascal, but CoCo BASIC is so darned easy to learn that for 
> simple
> > > > > >
> > > > > > and/or quick experiments it would be preferred.
> > > > > >
> > > > > >
> > > > > >--
> > > > > >Frank Swygert
> > > > > >Publisher, "American Independent
> > > > > >Magazine" (AIM)
> > > > > >*Elite* publication for those
> > > > > >interested in all
> > > > > >aspects of AMC
> > > > > >history,performance,restoration,etc
> > > > > >.
> > > > > >(AMC,Rambler,Nash,Hudson,Jeep,etc.)
> > > > > >http:farna.home.att.net/AIM.html
> > > > > >(free download available!)
> > > > > >
> > > > > >
> > > > > >
> > > > > >-------------- Original message from coco-request at maltedmedia.com:
> > > > > >--------------
> > > > > >
> > > > > > > Message: 3
> > > > > > > Date: Fri, 06 Aug 2004 11:30:48 -0400
> > > > > > > From: jdaggett at gate.net
> > > > > > > Subject: Re: [Coco] Re: Coco Repack
> > > > > > > To: CoCoList for Color Computer Enthusiasts
> > > > > > > Message-ID: <41136BE8.14904.E66F7 at localhost>
> > > > > > > Content-Type: text/plain; charset=US-ASCII
> > > > > > >
> > > > > > > Frank
> > > > > > >
> > > > > > > You are ringt if a FPGA version of the 6x09 were incorporated
> > > > > > > along with an FPGA version of the GIME chip, breaking the 10 MHz
> > > > > > > barrier will be no problem. Speed control can be done with
> > > > > > > external clock ship. One by ICT can derive 1000's of frequencies
> > > > > > > from a single crystal frequency. In fact the one chip that I was
> > > > > > > looking at, from a 28.6868 MHz cyrstal I can derive over a 1000
> > > > > > > different E and Q Clocks for the CPU from 400 KHz to 19 MHz.
> > > > > > >
> > > > > > > My initial intent was to use a 6309 and my new GIME chip and 
> j ust
> > > > > > > see how fast I can over clock the 6309. I have two bytes 
> resevered
> > > > > > > in the memory map to address the clock PLL chip.
> > > > > > >
> > > > > > > Just keep pushing the clock until the chip stops.
> > > > > > >
> > > > > > > james
> > > > > >
> > > > > >--
> > > > > >Coco mailing list
> > > > > >Coco at maltedmedia.com
> > > > > >http://five.pairlist.net/mailman/listinfo/coco
> > > > >
> > > > >
> > > > >
> > > > > --
> > > > > Coco mailing list
> > > > > Coco at maltedmedia.com
> > > > > http://five.pairlist.net/mailman/listinfo/coco
> > > >
> > > > --
> > > > Coco mailing list
> > > > Coco at maltedmedia.com
> > > > http://five.pairlist.net/mailman/listinfo/coco
> > >
> > >--
> > >Coco mailing list
> > >Coco at maltedmedia.com
> > >http://five.pairlist.net/mailman/listinfo/coco
> >
> > --
> > Coco mailing list
> > Coco at maltedmedia.com
> > http://five.pairlist.net/mailman/listinfo/coco
>
>--
>Coco mailing list
>Coco at maltedmedia.com
>http://five.pairlist.net/mailman/listinfo/coco





More information about the Coco mailing list